Search

Article

x

留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

Recent research progress of ferroelectric negative capacitance field effect transistors

Chen Jun-Dong Han Wei-Hua Yang Chong Zhao Xiao-Song Guo Yang-Yan Zhang Xiao-Di Yang Fu-Hua

Citation:

Recent research progress of ferroelectric negative capacitance field effect transistors

Chen Jun-Dong, Han Wei-Hua, Yang Chong, Zhao Xiao-Song, Guo Yang-Yan, Zhang Xiao-Di, Yang Fu-Hua
PDF
HTML
Get Citation
  • Ferroelectric negative capacitance field effect transistors(Fe-NCFETs) can break through the so-called “Boltzmann Tyranny” of traditional metal oxide semiconductor field effect transistors and reduce the subthreshold swing below 60 mV/dec, which could greatly improve the on/off current ratio and short-channel effect. Consequently, the power dissipation of the device is effectively lowered. The Fe-NCFET provides a choice for the downscaling of the transistor and the continuation of Moore’s Law. In this review, the representative research progress of Fe-NCFETs in recent years is comprehensively reviewed to conduce to further study. In the first chapter, the background and significance of Fe-NCFETs are introduced. In the second chapter, the basic properties of ferroelectric materials are introduced, and then the types of ferroelectric materials are summarized. Among them, the invention of hafnium oxide-based ferroelectric materials solves the problem of compatibility between traditional ferroelectric materials and CMOS processes, making the performance of NCFETs further improved. In the third chapter, the advantages and disadvantages of Fe-NCFETs with MFS, MFIS and MFMIS structures are first summarized, then from the perspective of atomic microscopic forces the “S” relationship curve of ferroelectric materials is derived and combined with Gibbs free energy formula and L-K equation, and the intrinsic negative capacitance region in the free energy curve of the ferroelectric material is obtained. Next, the steady-state negative capacitance and transient negative capacitance in the ferroelectric capacitor are discussed from the aspects of concept and circuit characteristics; after that the working area of negative capacitance Fe-NCFET is discussed. In the fourth chapter, the significant research results of Fe-NCFETs combined with hafnium-based ferroelectrics in recent years are summarized from the perspective of two-dimensional channel materials and three-dimensional channel materials respectively. Among them, the Fe-NCFETs based on three-dimensional channel materials such as silicon, germanium-based materials, III-V compounds, and carbon nanotubes are more compatible with traditional CMOS processes. The interface between the channel and the ferroelectric layer is better, and the electrical performance is more stable. However, thereremain some problems to be solved in three-dimensional channel materials such as the limited on-state current resulting from the low effective carrier mobility of the silicon, the small on/off current ratio due to the leakage caused by the small bandgap of the germanium-based material, the poor interfacial properties between the III-V compound materials and the dielectric layer, and the ambiguous working mechanism of Fe-NCFETs based on carbon nanotube. Compared with Fe-NCFETs based on three-dimensional channel materials, the Fe-NCFETs based on two-dimensional channel materials such as transition metal chalcogenide, graphene, and black phosphorus provide the possibility for the characteristic size of the transistor to be reduced to 3 nm. However, the interface performance between the two-dimensional channel material and the gate dielectric layer is poor, since there are numerous defect states at the interface. Furthermore, the two-dimensional channel materials have poor compatibility with traditional CMOS process. Hence, it is imperative to search for new approaches to finding a balance between device characteristics. Finally, the presently existing problems and future development directions of Fe-NCFETs are summarized and prospected.
      Corresponding author: Han Wei-Hua, weihua@semi.ac.cn ; Yang Fu-Hua, fhyang@semi.ac.cn
    [1]

    Moore G E 1965 Electronics 38 114

    [2]

    Mori K, Duong A, Richardson W F J 2002 IEEE T. Electron Dev. 49 61Google Scholar

    [3]

    Fitzgerald E 2006 US Patent 11 412 262

    [4]

    Chaudhry A, Kumar M J 2004 IEEE T. Device Ma. Re. 4 99Google Scholar

    [5]

    Tsutsui G, Saitoh M, Hiramoto T 2005 IEEE Electr. Device L. 26 836Google Scholar

    [6]

    Auth C, Allen C, Blattner A, Bergstrom D, Brazier M, Bost M, Buehler M, Chikarmane V, Ghani T, Glassman T 2012 Symposium on VLSI Technology Honolulu, HI, USA, June 12–14, 2012 p131

    [7]

    Bae G, Bae D-I, Kang M, Hwang S, Kim S, Seo B, Kwon T, Lee T, Moon C, Choi Y 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p28.7.1

    [8]

    International Roadmap for Devices and Systems 2017 Edition Reports. https://irds.ieee.org/roadmap-2017 [2020-1-11].

    [9]

    Zhirnov V V, Cavin R K 2008 Nat. Nanotechnol. 3 77Google Scholar

    [10]

    Woo Young C, Byung-Gook P, Jong Duk L, Tsu-Jae King L 2007 IEEE Electr. Device L. 28 743Google Scholar

    [11]

    Seabaugh A C, Zhang Q 2010 Proc. IEEE 98 2095Google Scholar

    [12]

    Ionescu A M, Riel H 2011 Nature 479 329Google Scholar

    [13]

    Mori T, Morita Y, Miyata N, Migita S, Fukuda K, Mizubayashi W, Masahara M, Yasuda T, Ota H 2015 Appl. Phys. Lett. 106 083501Google Scholar

    [14]

    Gopalakrishnan K, Griffin P B, Plummer J D 2003 Digest. International Electron Devices Meeting San Francisco, CA, USA, December 8–11, 2002 p289

    [15]

    Kam H, Lee D T, Howe R T, King T J 2006 IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. Washington, DC, USA, December 5–5, 2005 p463

    [16]

    Lefter M, Enachescu M, Voicu G R, Cotofana S D 2014 Proceedings of the 2014 IEEE/ACM International Symposium on Nanoscale Architectures Paris, France, July 15–17, 2014 p151

    [17]

    Enachescu M, Lefter M, Voicu G R, Cotofana S D 2018 IEEE Trans. Emerg. Top. Comput. 6 184Google Scholar

    [18]

    Luong G V, Narimani K, Tiedemann A T, Bernardy P, Trellenkamp S, Zhao Q T, Mantl S 2016 IEEE Electr. Device L. 37 950Google Scholar

    [19]

    Kumar M J, Maheedhar M, Varma P P 2015 IEEE T. Electron Dev. 62 4345Google Scholar

    [20]

    Enachescu M, Voicu G R, Cotofana S D 2012 IEEE International Symposium on Circuits and Systems Seoul, South Korea, May 23–25, 2012 p2561

    [21]

    Wei S, Zhang G, Liu J, Huang H, Geng L, Shao Z, Yang C F 2017 International Conference on Applied System Innovation (ICASI) Sapporo, Japan, May 13–17, 2017 p1293

    [22]

    Colinge J P, Lee C W, Afzalian A, Akhavan N D, Yan R, Ferain I, Razavi P, O'Neill B, Blake A, White M, Kelleher A M, McCarthy B, Murphy R 2010 Nat. Nanotechnol. 5 225Google Scholar

    [23]

    Wang H, Han W, Li X, Zhang Y, Yang F 2014 J. Appl. Phys. 116 124505Google Scholar

    [24]

    Salahuddin S, Datta S J 2008 Nano Lett. 8 405Google Scholar

    [25]

    Zhou H, Kwon D, Sachid A B, Liao Y, Chatterjee K, Tan A J, Yadav A K, Hu C, Salahuddin S 2018 IEEE Symposium on VLSI Technology Honolulu, HI, USA, June 18–22, 2018 p53

    [26]

    Kobayashi M 2018 Appl. Phys. Express 11 110101Google Scholar

    [27]

    Tan A J, Zhu Z, Choe H S, Hu C, Salahuddin S, Yoon A 2019 International Symposium on VLSI Technology, Systems and Application Hsinchu, Taiwan, China, April 22–25, 2019 p1

    [28]

    Das S, Appenzeller J 2011 Nano Lett. 11 4003Google Scholar

    [29]

    Wang X, Yu P, Lei Z, Zhu C, Cao X, Liu F, You L, Zeng Q, Deng Y, Zhu C, Zhou J, Fu Q, Wang J, Huang Y, Liu Z 2019 Nat. Commun. 10 3037Google Scholar

    [30]

    Xu J, Jiang S Y, Zhang M, Zhu H, Chen L, Sun Q Q, Zhang D W 2018 Appl. Phys. Lett. 112 103104Google Scholar

    [31]

    Rusu A, Salvatore G A, Jiménez D, Ionescu A M 2010 International Electron Devices Meeting San Francisco, CA, USA, December 6–8, 2010 p16.3.1

    [32]

    Hu C, Salahuddin S, Lin C I, Khan A 2015 73rd Annual Device Research Conference Columbus, OH, USA, June 21–24, 2015 p39

    [33]

    McGuire F A, Lin Y C, Price K, Rayner G B, Khandelwal S, Salahuddin S, Franklin A D 2017 Nano Lett. 17 4801Google Scholar

    [34]

    Pahwa G, Agarwal A, Chauhan Y S 2018 IEEE T. Electron Dev. 65 5130Google Scholar

    [35]

    Mehta H, Kaur H 2019 4th International Conference on Devices, Circuits and Systems Coimbatore, India, March 16–17, 2018 p164

    [36]

    Mehta H, Kaur H 2018 IEEE T. Electron Dev. 65 2699Google Scholar

    [37]

    Shao Q, Wang X, Jiang W, Chen Y, Zhang X, Tu L, Lin T, Shen H, Meng X, Liu A, Wang J 2019 Appl. Phys. Lett. 115 162902Google Scholar

    [38]

    Fan C C, Tu C Y, Lin M H, Chang C Y, Cheng C H, Chen Y L, Liou G L, Liu C, Chou W C, Hsu H H 2018 IEEE International Reliability Physics Symposium Burlingame, CA, USA, March 11–15, 2018 pP-TX.8-1

    [39]

    钟维烈 1996 铁电体物理学 (北京: 科学出版社) 第1页

    Zhong W L 1996 Ferroelectric Physics (Beijing: Science Press) p1 (in Chinese)

    [40]

    Kholkin A L, Pertsev N A, Goltsev A V 2008 Piezoelectricity and Crystal Symmetry (Boston: Springer US) pp28–29

    [41]

    Koh J H 2002 Ph. D. Dissertation (Stockholm: Royal Institute of Technology)

    [42]

    Wersing W, Bruchhaus R 2000 Pyroelectric Devices and Applications (Cambridge: Academic Press) p143

    [43]

    Sawaguchi E, Akishige Y, Kobayashi M 1985 J. Phys. Soc. Jpn. 54 480Google Scholar

    [44]

    Lu S W, Lee B I, Wang Z L, Samuels W D 2000 J. Cryst. Growth 219 269Google Scholar

    [45]

    Smith M B, Page K, Siegrist T, Redmond P L, Walter E C, Seshadri R, Brus L E, Steigerwald M L 2008 J. Am. Chem. Soc. 130 6955Google Scholar

    [46]

    Valasek J 1921 Phys. Rev. 17 475Google Scholar

    [47]

    Ploss B, Ploss B, Shin F G, Chan H L, Choy C L 2000 IEEE Trns. Dielectr. Electr. Insul. 7 517Google Scholar

    [48]

    Nguyen C A, Mhaisalkar S G, Ma J, Lee P S 2008 Org. Electron. 9 1087Google Scholar

    [49]

    Kang S J, Park Y J, Bae I, Kim K J, Kim H C, Bauer S, Thomas E L, Park C 2009 Adv. Funct. Mater. 19 2812Google Scholar

    [50]

    Jo J, Choi W Y, Park J D, Shim J W, Yu H Y, Shin C 2015 Nano Lett. 15 4553Google Scholar

    [51]

    Zhang W, Xiong R G 2012 Chem. Rev. 112 1163Google Scholar

    [52]

    Liu Y L, Ge J Z, Wang Z X, Xiong R G 2019 Inorg. Chem. Front. 7 128Google Scholar

    [53]

    Ikeda T, Sasaki T, Ichimura K 1993 Nature 361 428Google Scholar

    [54]

    Zhang H, Chen Y, Ding S, Wang J, Bao W, Zhang D W, Zhou P 2018 Nanotechnology 29 244004Google Scholar

    [55]

    Beresnev L A, Chigrinov V G, Dergachev D I, Poshidaev E P, Fünfschilling J, Schadt M 1989 Liq. Cryst. 5 1171Google Scholar

    [56]

    Ye H Y, Tang Y Y, Li P F, Liao W Q, Gao J X, Hua X N, Cai H, Shi P P, You Y M, Xiong R G J S 2018 Science 361 151Google Scholar

    [57]

    Li P F, Liao W Q, Tang Y Y, Qiao W, Zhao D, Ai Y, Yao Y F, Xiong R G 2019 Proc. Natl. Acad. Sci. U S.A 116 5878Google Scholar

    [58]

    Li L, Wu M 2017 ACS Nano 11 6382Google Scholar

    [59]

    Ding W, Zhu J, Wang Z, Gao Y, Xiao D, Gu Y, Zhang Z, Zhu W 2017 Nat. Commun. 8 14956Google Scholar

    [60]

    Li Y, Gong M, Zeng H 2019 J. Semicond. 40 061002sGoogle Scholar

    [61]

    Liu F, You L, Seyler K L, Li X, Yu P, Lin J, Wang X, Zhou J, Wang H, He H, Pantelides S T, Zhou W, Sharma P, Xu X, Ajayan P M, Wang J, Liu Z 2016 Nat. Commun. 7 12357Google Scholar

    [62]

    Wu M, Jena P 2018 Wiley Interdiscip. Rev.-Comput. Mol. Sci. 8 1365Google Scholar

    [63]

    Böscke T S, Müller J, Bräuhaus D, Schröder U, Böttger U 2011 Appl. Phys. Lett. 99 102903Google Scholar

    [64]

    Mueller S, Mueller J, Singh A, Riedel S, Sundqvist J, Schroeder U, Mikolajick T 2012 Adv. Funct. Mater. 22 2412Google Scholar

    [65]

    Müller J, Schröder U, Böscke T S, Müller I, Böttger U, Wilde L, Sundqvist J, Lemberger M, Kücher P, Mikolajick T, Frey L 2011 J. Appl. Phys. 110 114113Google Scholar

    [66]

    Starschich S, Boettger U 2017 J. Mater. Chem. C 5 333Google Scholar

    [67]

    Schroeder U, Mueller S, Mueller J, Yurchuk E, Martin D, Adelmann C, Schloesser T, van Bentum R, Mikolajick T 2013 ECS J. Solid State Sci. Technol. 2 N69Google Scholar

    [68]

    Schroeder U, Yurchuk E, Müller J, Martin D, Schenk T, Polakowski P, Adelmann C, Popovici M I, Kalinin S V, Mikolajick T 2014 Jpn. J. Appl. Phys. 53 08LE02Google Scholar

    [69]

    Müller J, Böscke T S, Bräuhaus D, Schröder U, Böttger U, Sundqvist J, Kücher P, Mikolajick T, Frey L 2011 Appl. Phys. Lett. 99 112901Google Scholar

    [70]

    Müller J, Boscke T S, Schroder U, Mueller S, Brauhaus D, Bottger U, Frey L, Mikolajick T 2012 Nano Lett. 12 4318Google Scholar

    [71]

    Terki R, Bertrand G, Aourag H, Coddet C 2008 Mater. Lett. 62 1484Google Scholar

    [72]

    Íñiguez J, Zubko P, Luk’yanchuk I, Cano A 2019 Nat. Rev. Mater. 4 243Google Scholar

    [73]

    Sayeef S, Supriyo D 2008 Nano Letter 8 405

    [74]

    Lu P S, Lin C C, Su P 2019 International Symposium on VLSI Technology, Systems and Application Hsinchu, Taiwan, China, April 22–25, 2019 p1

    [75]

    Muller J, Boscke T S, Schroder U, Hoffmann R, Mikolajick T, Frey L 2012 IEEE Electr. Device L. 33 185Google Scholar

    [76]

    Pahwa G, Dutta T, Agarwal A, Chauhan Y S 2017 IEEE T. Electron Dev. 64 1366Google Scholar

    [77]

    Park B E, Lee G G 2010 J. Korean Phys. Soc. 56 1484Google Scholar

    [78]

    Sun J, Zheng X 2011 IEEE T. Electron Dev. 58 3559Google Scholar

    [79]

    Sun J, Zheng X J, Li W 2012 Curr. Appl. Phys. 12 760Google Scholar

    [80]

    Jang K, Kobayashi M, Hiramoto T 2018 Jpn. J. Appl. Phys. 57 114202Google Scholar

    [81]

    Li Y, Lian Y, Samudra G S 2015 Semicond. Sci. Technol. 30 045011Google Scholar

    [82]

    Sun J, Li Y, Cao L 2019 J. Comput. Electron. 18 527Google Scholar

    [83]

    Hoffmann M, Pesic M, Slesazeck S, Schroeder U, Mikolajick T 2018 Nanoscale 10 10891Google Scholar

    [84]

    Cheng C H, Fan C C, Tu C Y, Hsu H H, Chang C Y 2019 IEEE T. Electron Dev. 66 825Google Scholar

    [85]

    Wong J C, Salahuddin S 2019 Proc. IEEE 107 49Google Scholar

    [86]

    Luttinger J M, Tisza L 1946 Phys. Rev. 70 954Google Scholar

    [87]

    Slater J C 1950 Phys. Rev. 78 748Google Scholar

    [88]

    Islam Khan A, Bhowmik D, Yu P, Joo Kim S, Pan X, Ramesh R, Salahuddin S 2011 Appl. Phys. Lett. 99 113501Google Scholar

    [89]

    Rabe K M, Dawber M, Lichtensteiger C, Ahn C H, Triscone J-M 2007 Physics of Ferroelectrics: A Modern Perspective (Berlin, Heidelberg: Springer Berlin Heidelberg) pp1–30

    [90]

    Gao W, Khan A, Marti X, Nelson C, Serrao C, Ravichandran J, Ramesh R, Salahuddin S 2014 Nano Lett. 14 5814Google Scholar

    [91]

    Alam M A, Si M, Ye P D 2019 Appl. Phys. Lett. 114 090401Google Scholar

    [92]

    Liu Z, Bhuiyan M, Ma T 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p31.2.1

    [93]

    Hoffmann M, Slesazeck S, Mikolajick T, Hwang C S 2019 Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices (Cambridge: Woodhead Publishing) p473

    [94]

    Khan A I, Chatterjee K, Wang B, Drapcho S, You L, Serrao C, Bakaul S R, Ramesh R, Salahuddin S 2015 Nat. Mater. 14 182Google Scholar

    [95]

    Jang K, Ueyama N, Kobayashi M, Hiramoto T 2018 IEEE J. Electron Devices Soc. 6 346Google Scholar

    [96]

    Kim K D, Kim Y J, Park M H, Park H W, Kwon Y J, Lee Y B, Kim H J, Moon T, Lee Y H, Hyun S D, Kim B S, Hwang C S 2019 Adv. Funct. Mater. 29 1808228Google Scholar

    [97]

    Han Q, Aleksa P, Tromm T C U, Schubert J, Mantl S, Zhao Q T 2019 Solid-State Electron. 159 71Google Scholar

    [98]

    Catalan G, Jiménez D, Gruverman A 2015 Nat. Mater. 14 137Google Scholar

    [99]

    Chang S C, Avci U E, Nikonov D E, Manipatruni S, Young I A 2018 Phys. Rev. Appl. 9 014010Google Scholar

    [100]

    Landau L, Khalatnikov I 1954 Dokl. Akad. Nauk SSSR. 96 469Google Scholar

    [101]

    Hoffmann M, Khan A I, Serrao C, Lu Z, Salahuddin S, Pešić M, Slesazeck S, Schroeder U, Mikolajick T 2018 J. Appl. Phys. 123 184101Google Scholar

    [102]

    Merz W J 1954 Phys. Rev. 95 690Google Scholar

    [103]

    Chang S-C, Avci U E, Nikonov D E, Young I A 2017 IEEE J. Explor. Solid-State Comput. Devices Circuits 3 56Google Scholar

    [104]

    Jin C, Saraya T, Hiramoto T, Kobayashi M 2019 IEEE J. Electron Devices Soc. 7 368Google Scholar

    [105]

    Wang H, Yang M, Huang Q, Zhu K, Zhao Y, Liang Z, Chen C, Wang Z, Zhong Y, Zhang X 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p31.1.1

    [106]

    Orihara H, Hashimoto S, Ishibashi Y 1994 J. Phys. Soc. Jpn. 63 1031Google Scholar

    [107]

    Jo J, Shin C 2016 IEEE Electr. Device L. 37 245Google Scholar

    [108]

    Nourbakhsh A, Zubair A, Joglekar S, Dresselhaus M, Palacios T 2017 Nanoscale 9 6122Google Scholar

    [109]

    Saeidi A, Jazaeri F, Bellando F, Stolichnov I, Enz C C, Ionescu A M 2017 47th European Solid-State Device Research Conference Leuven, Belgium, September 11–14, 2017 p78

    [110]

    Galatage R, Bentley S, Suvarna P H, Krivokapic Z 2018 US Patent 10 141 414 B1

    [111]

    Khan A I, Yeung C W, Hu C, Salahuddin S 2012 International Electron Devices Meeting Washington, DC, USA, December 5–7, 2011 p11.3.1

    [112]

    Agarwal H, Kushwaha P, Lin Y K, Kao M Y, Liao Y H, Dasgupta A, Salahuddin S, Hu C 2019 IEEE Electr. Device L. 40 463Google Scholar

    [113]

    Si M, Su C J, Jiang C, Conrad N J, Zhou H, Maize K D, Qiu G, Wu C T, Shakouri A, Alam M A, Ye P D 2018 Nat. Nanotechnol. 13 24Google Scholar

    [114]

    Bohr M T, Young I A 2017 IEEE Micro 37 20

    [115]

    Cheng C H, Chin A 2014 IEEE Electr.Device L. 35 274Google Scholar

    [116]

    Fan CC, Cheng CH, Chen YR, Liu C, Chang CY 2018 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 2–6, 2017 p23.2.1

    [117]

    Chiu YC, Cheng CH, Chang C-, Tang YT, Chen MC 2016 IEEE Symposium on VLSI Technology Honolulu, HI, USA, June 14–16, 2016 p1

    [118]

    Cheng CH, Fan CC, Hsu HH, Wang SA, Chang CY 2019 Phys. Status Solidi-Rapid Res. Lett. 13 1800493Google Scholar

    [119]

    Cheng C H, Lin M H, Chen H Y, Fan C C, Liu C, Hsu H H, Chang C Y 2018 Phys. Status Solidi-Rapid Res. Lett. 13 1800573Google Scholar

    [120]

    Zeng B, Xiao W, Liao J, Liu H, Liao M, Peng Q, Zheng S, Zhou Y 2018 IEEE Electr. Device L. 39 1508Google Scholar

    [121]

    Chen K T, Liao C Y, Chen H Y, Lo C, Siang G Y, Lin Y Y, Tseng Y J, Chang C, Chueh C Y, Yang Y J, Liao M H, Li K S, Chang S T, Lee M H 2019 Microelectron. Eng. 215 110991Google Scholar

    [122]

    Xiao W, Liu C, Peng Y, Zheng S, Feng Q, Zhang C, Zhang J, Hao Y, Liao M, Zhou Y 2019 IEEE Electr. Device L. 40 714Google Scholar

    [123]

    Li K S, Chen P G, Lai T Y, Lin C H, Cheng C C, Chen C C, Wei Y J, Hou Y F, Liao M H, Lee M H 2016 IEEE International Electron Devices Meeting Washington, DC, USA, December 7–9, 2015 p22.6.1

    [124]

    Zhang Z, Xu G, Zhang Q, Hou Z, Li J, Kong Z, Zhang Y, Xiang J, Xu Q, Wu Z, Zhu H, Yin H, Wang W, Ye T 2019 IEEE Electr. Device L. 40 367Google Scholar

    [125]

    Chen P J, Tsai M J, Hou F J, Wu Y C 2019 Silicon Nanoelectronics Workshop Kyoto, Japan, June 9–10, 2019 p1

    [126]

    Lee S Y, Chen H W, Shen C H, Kuo P Y, Chung C C, Huang Y E, Chen H Y, Chao T S 2019 IEEE Electr. Device L. 40 1708Google Scholar

    [127]

    Bansal A K, Kumar M, Gupta C, Hook T B, Dixit A 2018 IEEE T. Electron Dev. 65 3548Google Scholar

    [128]

    Song Y, Zhou H, Xu Q, Luo J, Yin H, Yan J, Zhong H 2011 J. Electron. Mater. 40 1584Google Scholar

    [129]

    Zhou J, Han G, Li Q, Peng Y, Lu X, Zhang C, Zhang J, Sun QQ, Zhang D W, Hao Y 2017 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 3–7, 2016 p12.2.1

    [130]

    Zhou J, Han G, Peng Y, Liu Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2017 IEEE Electr. Device L. 38 1157Google Scholar

    [131]

    Li J, Zhou J, Han G, Liu Y, Peng Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2017 IEEE Electr. Device L. 38 1500Google Scholar

    [132]

    Zhou J, Han G, Li J, Liu Y, Peng Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2018 IEEE Electr.Device L. 39 622Google Scholar

    [133]

    Zhou J, Han G, Li J, Liu Y, Peng Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2018 IEEE Electr. Device L. 39 618Google Scholar

    [134]

    Peng Y, Liu Y, Han G, Zhang J, Hao Y 2019 Nanoscale Res. Lett. 14 125Google Scholar

    [135]

    Alghamdi S, Chung W, Si M, Peide D Y 2018 76th Device Research Conference Santa Barbara, CA, USA, June 24–27, 2018 p1

    [136]

    Luc Q, Fan-Chiang C, Huynh S, Huang P, Do H, Ha M, Jin Y, Nguyen T, Zhang K, Wang H 2018 IEEE Symposium on VLSI Technology Honolulu, HI, USA, June 18–22, 2018 p47

    [137]

    Chang E Y, Luc Q H, Tran N A, Lin Y C 2019 ECS Trans. 92 3Google Scholar

    [138]

    Srimani T, Hills G, Bishop M D, Radhakrishna U, Zubair A, Park R S, Stein Y, Palacios T, Antoniadis D, Shulaker M M 2018 IEEE Electr. Device L. 39 304Google Scholar

    [139]

    Tu L, Wang X, Wang J, Meng X, Chu J 2018 Adv. Electron. Mater. 4 1800231Google Scholar

    [140]

    Si M, Jiang C, Chung W, Du Y, Alam M A, Ye P D 2018 Nano Lett. 18 3682Google Scholar

    [141]

    Lee Y T, Kwon H, Kim J S, Kim H H, Lee Y J, Lim J A, Song YW, Yi Y, Choi WK, Hwang D K 2015 ACS Nano 9 10394Google Scholar

    [142]

    Heidler J, Yang S, Feng X, Müllen K, Asadi K 2018 Solid-State Electron. 144 90Google Scholar

    [143]

    Choi H, Shin C 2019 Phys. Status Solidi A 216 1900177Google Scholar

    [144]

    Yu Z, Wang H, Li W, Xu S, Song X, Wang S, Wang P, Zhou P, Shi Y, Chai Y 2018 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 2-6, 2017 p23.6.1

    [145]

    Yap W C, Jiang H, Liu J, Xia Q, Zhu W 2017 Appl. Phys. Lett. 111 013103Google Scholar

    [146]

    McGuire F A, Lin Y C, Rayner B, Franklin A D 2017 75th Annual Device Research Conference South Bend, IN, USA, June 25–28, 2017 p1

    [147]

    Alghamdi S, Si M, Yang L, Peide D Y 2018 IEEE International Reliability Physics Symposium Burlingame, CA, USA, March 11–15, 2018 pP-TX.1-1

    [148]

    Wang J, Guo X, Yu Z, Ma Z, Liu Y, Chan M, Zhu Y, Wang X, Chai Y 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p22.3.1

    [149]

    Si M, Peide D Y 2018 International Symposium on VLSI Technology, Systems and Application Hsinchu, Taiwan, April 16–19, 2018 p1

    [150]

    Liu F, Zhou Y, Wang Y, Liu X, Wang J, Guo H 2016 NPJ Quantum Mater. 1 16004Google Scholar

    [151]

    Park N, Kang H, Park J, Lee Y, Yun Y, Lee J H, Lee S G, Lee Y H, Suh D 2015 ACS Nano 9 10729Google Scholar

    [152]

    Jie W, Hao J 2017 Nanoscale 10 328

    [153]

    Lipatov A, Fursina A, Vo T H, Sharma P, Gruverman A, Sinitskii A 2017 Adv. Electron. Mater. 3 1700020Google Scholar

    [154]

    Lee Y, Jeon W, Cho Y, Lee M H, Jeong S J, Park J, Park S 2016 ACS Nano 10 6659Google Scholar

    [155]

    Tian H, Li Y-x, Li L, Wang X, Liang R, Yang Y, Ren T L 2019 IEEE T. Electron Dev. 66 1579Google Scholar

    [156]

    Li J, Liu Y, Han G, Zhou J, Hao Y 2019 Nanoscale Res. Lett. 14 171Google Scholar

    [157]

    Peng Y, Han G, Xiao W, Wu J, Liu Y, Zhang J, Hao Y 2019 Nanoscale Res. Lett. 14 115Google Scholar

    [158]

    Tokumitsu E 2020 Jpn. J. Appl. Phys. 59 SCCB06Google Scholar

    [159]

    Park J H, Jang G S, Kim H Y, Seok K H, Chae H J, Lee S K, Joo S K 2016 Sci. Rep. 6 24734Google Scholar

    [160]

    Lee M H, Fan S T, Tang C H, Chen P G, Chou Y C, Chen H H, Kuo J Y, Xie M J, Liu S N, Liao M H 2017 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 3–7, 2016 p12.1.1

    [161]

    Zhang X D, Han W H, Liu W, Zhao X S, Guo Y Y, Yang C, Chen J D, Yang F H 2019 Chin. Phys. B 28 127302Google Scholar

    [162]

    Guo Y Y, Han W H, Zhao X S, Dou Y M, Zhang X D, Wu X Y, Yang F H 2019 Chin. Phys. B 28 107303Google Scholar

    [163]

    Zhao X S, Han W H, Guo Y Y, Dou Y M, Yang F H 2018 Chin. Phys. B 27 097310Google Scholar

  • 图 1  IRDS提出的SS路线图[8]

    Figure 1.  Roadmap of subthreshold swing (SS) proposed by IRDS[8].

    图 2  介电体分类示意图

    Figure 2.  The schematic diagram of the classification of dielectrics.

    图 3  铁电电滞回线[41]

    Figure 3.  Ferroelectric hysteresis loop[41].

    图 4  有机钙钛矿A(NH4)X3家族化学和晶格结构[56] (a) 有机钙钛矿铁电体的三维化学结构组成图; (b) 铁电相MDABCO-NH4I3在293 K时的晶胞结构图, 右侧椭圆中为有机正离子的空间结构示意图, 其对称性接近于球体; (c) 铁电相MDABCO-NH4I3在463 K时的晶胞结构图

    Figure 4.  Chemical and crystal structures of the metal-free A(NH4) X3 family[56]: (a) Chemical structures of constituents of the metal-free 3D perovskite ferroelectrics; (b) the packing diagram of MDABCO–NH4I3 in the ferroelectric phase at 293 K. The oval to the right contains the space-fill diagram of the organic cation, showing the cationic geometry to be close to a ball; (c) the packing diagram of MDABCO–NH4I3 in the paraelectric phase at 463 K.

    图 5  场效应晶体管转移特性曲线

    Figure 5.  The transfer characteristic curve of field effect transistors.

    图 6  标准场效应晶体管结构示意图与其等效电容电路[73]

    Figure 6.  The schematic diagram of a standard field effect transistors.structure and its eauivalent circuit of capacitance[73].

    图 7  器件结构图 (a) 传统MOSFETs; (b) MFIS; (c) MFMIS

    Figure 7.  Device structure diagram: (a) Traditional MOSFETs; (b) MFIS; (c) MFMIS.

    图 8  (a) 钙钛矿型(ABO3)铁电体的晶胞结构图[85]; (b) (200)晶面的极化场分布图[85]

    Figure 8.  (a) Conventional unit cell of an FE perovskite (ABO3)[85]; (b) schematic of the dipole fields in the (200) plane[85].

    图 9  铁电体极化强度P和电场E之间的关系 (a) P-E关系图; (b) 电滞回线图

    Figure 9.  The relationship between polarization P and electric field E of ferroelectrics: (a) P vs. E; (b) hysteresis diagram.

    图 10  (a) 铁电体的QFE-VFE关系图; (b)铁电体的UFE-QFE关系图

    Figure 10.  (a) QFE vs. VFE of ferroelectrics; (b) UFE vs. QFE of ferroelectrics.

    图 11  不同电容系统的自由能曲线形貌[90]

    Figure 11.  Energy landscapes of CFE, CDE and their series combination[90].

    图 12  小信号测量模式测量铁电体NC (a) 等效电路图[91]; (b) LAO/BSTO超晶格结构示意图[90]; (c) 电容与电压的关系[90]

    Figure 12.  Ferroelectric NC measured by small-signal measurement mode: (a) Equivalent circuit diagram[91]; (b) schematic diagram of a LAO/BSTO superlattice stack[90]; (c) capacitance dependence on voltage[90].

    图 13  测量铁电体瞬态NC的R-CFE等效电路图[99]

    Figure 13.  The schematic of a R-CFE circuit for studying the transient NC in ferroelectrics[99].

    图 14  瞬态NC模拟结果[99] (a) 输入电压, 输出电压和铁电电容上自由电荷与时间的关系图; (b) 极化强度和自由电荷与时间的关系图; (c) 极化强度和自由电荷对时间的微分结果及其差值随时间的变化曲线; (d) 铁电电容电压的变化速度随时间的变化曲线

    Figure 14.  The simulation results of transient NC[99]: (a) Input voltage, output voltage, and free charge on a ferroelectric capacitor as functions of time; (b) polarization and free charge as functions of time; (c) charge density per unit time for free charge and polarization and the difference between them; (d) change in the voltage across a ferroelectric capacitor per unit time as a function of time.

    图 15  (a) 外电阻对R-CFE电路中瞬态NC的影响; (b) 粘度系数对R-CFE电路中瞬态NC的影响[99]

    Figure 15.  (a) The effect of the external resistance on transient NC in a R-CFE circuit; (b)the effect of the viscosity coefficient on transient NC in a R-CFE circuit[99].

    图 16  器件电容电荷量与电压的关系 (a) 电容模型; (b) ${C_{\rm{S}}} < \left| {{C_{{\rm{FE}}}}} \right|$; (c) ${C_{\rm{S}}} < \left| {{C_{{\rm{FE}}}}} \right|$; (d) Fe-NCFETs[91]; (e) Fe-FET[91]

    Figure 16.  The relationship between capacitive charge and voltage of the device: (a) Capacitance model; (b) ${C_{\rm{S}}} < \left| {{C_{{\rm{FE}}}}} \right|$; (c) ${C_{\rm{S}}} < \left| {{C_{{\rm{FE}}}}} \right|$ (d) Fe-NCFETs[91]; (e) Fe-FETs[91].

    图 17  平面型硅基- HfAlO Fe-NCFETs[116] (a) 器件截面透射电子显微镜(transmission electron microscope, TEM)图; (b) 剩余极化强度与TaN中N含量的关系曲线; (c) F离子钝化作用对铁电层能带影响的示意图; (d) 不同处理作用后器件的SS与源漏电压的关系

    Figure 17.  Planar Silicon based HfAlO Fe-NCFETs[116]: (a) HR TEM cross-section image; (b) polarization as a function of nitrogen content of TaN; (c) schematic band diagram of HfAlO before and after F-passivation; (d) SS as a function of VDS after different treatments.

    图 18  硅基NCFinFET[123] (a) 器件截面TEM图; (b) 铁电NCFinFET的栅压放大系数与栅压的关系曲线; (c) 常规FinFET和铁电NCFinFET的SS与栅压的关系曲线

    Figure 18.  Silicon based NC-FinFET[123]: (a) TEM cross-sectional image of NC-FinFET with TiN internal gate, HfZrO FE film and TiN gate; (b) the gate amplification coefficient as a function of VG for NC-FinFET; (c) SS as a function of VG for conventional FinFET and NC-FinFET.

    图 19  (a)硅基铁电NCp-FinFET截面TEM图[124]; (b) 源漏电流与栅长关系曲线[124]

    Figure 19.  (a) TEM cross-sectional image of silicon based NC-p-FinFET[124]; (b) IDS as a function of gate length[124].

    图 20  双层堆叠硅纳米线GAA结构Fe-NCFETs[126] (a) 器件截面TEM图; (b) 沟道部分高分辨率TEM图; (c) HZO层的掠入角XRD曲线

    Figure 20.  Two-layer stacked silicon nanowire GAA Fe-NCFETs[126] : (a) TEM cross-sectional image of the device; (b) HRTEM of a portion of the channel; (c) the GIXRD spectrum for the as-deposited HZO layer.

    图 21  Ge基- HZO NCP型晶体管[129] (a) Ge沟道器件结构示意图; (b) Ge-Sn沟道器件结构示意图; (c) Ge沟道器件转移特性曲线; (d) Ge-Sn沟道器件转移特性曲线

    Figure 21.  Germanium based HZO NC-pFET[129]: (a) Schematic diagram of the device with Ge channel; (b) schematic diagram of the device with Ge-Sn channel; (c) transfer characteristic curve of the device with Ge channel; (d) transfer characteristic curve of the device with Ge-Sn channel.

    图 22  锗纳米线Fe-NCFETs[135] (a) 栅压扫描范围为 ±5 V时在不同扫描时间下的转移特性曲线; (b) 栅压扫描范围为 ±5 V时的回滞电压与扫描时间关系曲线; (c) 不同栅压扫描范围下的ID, Max与扫描时间关系曲线

    Figure 22.  Germanium nanowire NC-pFET[135]: (a) The transfer characteristic curve at different sweep times for ±5 V sweep range; (b) hysteresis versus sweep time for ±5 V sweep range; (c) maximum drain current versus sweep time for different sweep ranges.

    图 23  In0.53Ga0.47As沟道Fe-NCFETs (a) 平面型器件的结构示意图[136]; (b) Fin结构器件的结构示意图平[137]; (c) 平面型器件的转移特性曲线[136]; (d) Fin结构器件的转移特性曲线[137]

    Figure 23.  In0.53Ga0.47As channel Fe-NCFETs: (a) Schematic diagram[136] and (c) transfer characteristic curve of planar device[136]; (b) schematic diagram[137] and (d) transfer characteristic curve of Fin device[137].

    图 24  碳纳米管Fe-NCFETs[138] (a) 器件横截面TEM图; (b) 电滞回线; (c) 转移特性曲线; (d) 栅电流和栅压的关系曲线

    Figure 24.  Carbon nanotube Fe-NCFETs[138]: (a) TEM cross-sectional image; (b) Pr vs. E; (c) the transfer characteristic curve; (d) IGS as a function of VGS.

    图 25  MoS2铁电NC体晶体管[145] (a) 器件结构图; (b) VG = ± 7 V的转移特性曲线; (c) VG = ± 10 V时的转移特性曲线

    Figure 25.  MoS2 Fe-NCFETs[145]: (a) Structure of the device; (b)transfer characteristic curve of VG = ± 7 V; (c)transfer characteristic curve of VG = ± 10 V.

    图 26  WSe2铁电NC体晶[140] (a) MFIS型器件结构图; (b) MFMIS型器件结构图; (c) MFIS型器件的转移特性曲线; (d) MFMIS型器件的转移特性曲线

    Figure 26.  WSe2 Fe-NCFETs[140]: (a) Structure of MFIS device; (b) structure of MFMIS device; (c) transfer characteristic curve of MFIS device; (d) transfer characteristic curve of MFMIS device.

    图 27  石墨烯- HfxAlyO2晶体管[154] (a) 在石墨烯/二氧化硅衬底上沉积的HfxAlyO2薄膜; (b) HfxAlyO2的相对介电常数; (c) 不同Al组分下HfxAlyO2三个相的能量差; (d) 转移特性曲线(9.5% Al)

    Figure 27.  Graphene-HfxAlyO2 transistor[154]: (a) HfxAlyo2 films deposited on graphene/SiO2 substrates; (b) relative dielectric constant of HfxAlyO2; (c) energy difference among three phases in HfxAlyO2 with different Al concentrations; (d) transfer characteristic curve.

    图 28  黑磷铁电NC体晶体管[155] (a) 器件结构图; (b) 转移特性曲线; (c) 不同Id下的SS

    Figure 28.  Black phosphorus Fe-NCFETs[155]: (a) Structure of the device; (b) transfer characteristic curve; (c) SS in different Id.

    图 29  实验报道的Fe-NCFETs的SS与Hysteresis关系图 (2D[30,33,108,140,144,146-148,155], Si[25,116,118,119,121,123-126], GeSn[129,130,134,156], InGaAs[136,137])

    Figure 29.  SS versus Hysteresis of the reported Fe-NCFETs (2D[30,33,108,140,144,146-148,155], Si[25,116,118,119,121,123-126], GeSn[129,130,134,156], InGaAs[136,137]).

    表 1  实验报道的Fe-NCFETs的性能参数对比

    Table 1.  Performance comparison of the reported Fe-NCFETs.

    MOS structureChannel materialsGate structureFerroelectric materialstFE/nmSSmin/
    (mV·dec–1)
    Hysteresis/VOrders
    of IDS
    VD/VION/IOFFYearRef.
    Planarp-SiMFISHf0.65Zr0.35O2305–0.51042014[115]
    Planarn-SiMFISHfAlO (Al: 6%)10Sub-250.0240.21082017[116]
    Planarn-SiMFISHf0.75Zr0.25O21040Free10.21072018[119]
    Planarn-SiMFISHf0.53Zr0.47O25~40~0.120.21072019[121]
    Planarn-SiMFISHfAlO (Al: 4%)10Sub-300.0240.21082019[118]
    FinFETn-SiMFISHf0.5Zr0.5O24Sub-300.00320.051072018[25]
    FinFETn-SiMFMISHf0.42Zr0.58O25580.00310.11052015[123]
    FinFETn-SiMFISHf0.5Zr0.5O25Sub-60Free0.11072019[125]
    FinFETp-SiMFMISHf0.42Zr0.58O2334.50.0092–0.051042019[124]
    FinFETn-SiMFISHf0.5Zr0.5O25Sub-60Free0.11072019[125]
    GAApoly n-SiMFISHf0.5Zr0.5O21026.840.00340.11082019[126]
    Planarp-GeMFMISHf0.5Zr0.5O26.5432.341–0.051032016[129]
    Planarp-GeSnMFMISHf0.5Zr0.5O26.5400.412–0.051032016[129]
    Planarp-GeSnMFMISHf0.5Zr0.5O26Sub-20 < 0.012–0.051042017[130]
    Planarp-GeMFMISHf0.5Zr0.5O24.5~87.5Free–0.051032019[156]
    Planarp-GeMFISHf0.67Zr0.33O27~125~0.105–0.51042019[134]
    Planarn-InGaAsMFISHf0.5Zr0.5O2823~0.230.051052018[136]
    FinFETn-InGaAsMFISHf0.5Zr0.5O25230.210.051032019[137]
    GAAnanotubeMFMISHfAlO(Al: 7%)10~450.051042018[138]
    2D-FETMoS2MFMISHf1-xZrxO215Sub-601.230.51052017[146]
    2D-FETMoS2MFMISHf0.5Zr0.5O2156.070.540.51052017[33]
    2D-FETMoS2MFMISHfAlO(Al:7.3%)10570.540.51052017[108]
    2D-FETMoS2MFMISHfZrOx15472.510.11062018[30]
    2D-FETMoS2MFISHf0.5Zr0.5O220Sub-60 < 0.00540.51062018[147]
    2D-FETMoS2MFISHf0.5Zr0.5O220230.07760.11092017[144]
    2D-FETWSe2MFMISHf0.5Zr0.5O22014.40.122–0.11052018[140]
    2D-FETWSe2MFISHf0.5Zr0.5O21018.20.024–0.11042018[148]
    2D-FETGrapheneMFSHfAlO(Al:9.5%)50.12.752016[154]
    2D-FETBPMFMISHf0.5Zr0.5O2201040.50.11022019[155]
    DownLoad: CSV
  • [1]

    Moore G E 1965 Electronics 38 114

    [2]

    Mori K, Duong A, Richardson W F J 2002 IEEE T. Electron Dev. 49 61Google Scholar

    [3]

    Fitzgerald E 2006 US Patent 11 412 262

    [4]

    Chaudhry A, Kumar M J 2004 IEEE T. Device Ma. Re. 4 99Google Scholar

    [5]

    Tsutsui G, Saitoh M, Hiramoto T 2005 IEEE Electr. Device L. 26 836Google Scholar

    [6]

    Auth C, Allen C, Blattner A, Bergstrom D, Brazier M, Bost M, Buehler M, Chikarmane V, Ghani T, Glassman T 2012 Symposium on VLSI Technology Honolulu, HI, USA, June 12–14, 2012 p131

    [7]

    Bae G, Bae D-I, Kang M, Hwang S, Kim S, Seo B, Kwon T, Lee T, Moon C, Choi Y 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p28.7.1

    [8]

    International Roadmap for Devices and Systems 2017 Edition Reports. https://irds.ieee.org/roadmap-2017 [2020-1-11].

    [9]

    Zhirnov V V, Cavin R K 2008 Nat. Nanotechnol. 3 77Google Scholar

    [10]

    Woo Young C, Byung-Gook P, Jong Duk L, Tsu-Jae King L 2007 IEEE Electr. Device L. 28 743Google Scholar

    [11]

    Seabaugh A C, Zhang Q 2010 Proc. IEEE 98 2095Google Scholar

    [12]

    Ionescu A M, Riel H 2011 Nature 479 329Google Scholar

    [13]

    Mori T, Morita Y, Miyata N, Migita S, Fukuda K, Mizubayashi W, Masahara M, Yasuda T, Ota H 2015 Appl. Phys. Lett. 106 083501Google Scholar

    [14]

    Gopalakrishnan K, Griffin P B, Plummer J D 2003 Digest. International Electron Devices Meeting San Francisco, CA, USA, December 8–11, 2002 p289

    [15]

    Kam H, Lee D T, Howe R T, King T J 2006 IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest. Washington, DC, USA, December 5–5, 2005 p463

    [16]

    Lefter M, Enachescu M, Voicu G R, Cotofana S D 2014 Proceedings of the 2014 IEEE/ACM International Symposium on Nanoscale Architectures Paris, France, July 15–17, 2014 p151

    [17]

    Enachescu M, Lefter M, Voicu G R, Cotofana S D 2018 IEEE Trans. Emerg. Top. Comput. 6 184Google Scholar

    [18]

    Luong G V, Narimani K, Tiedemann A T, Bernardy P, Trellenkamp S, Zhao Q T, Mantl S 2016 IEEE Electr. Device L. 37 950Google Scholar

    [19]

    Kumar M J, Maheedhar M, Varma P P 2015 IEEE T. Electron Dev. 62 4345Google Scholar

    [20]

    Enachescu M, Voicu G R, Cotofana S D 2012 IEEE International Symposium on Circuits and Systems Seoul, South Korea, May 23–25, 2012 p2561

    [21]

    Wei S, Zhang G, Liu J, Huang H, Geng L, Shao Z, Yang C F 2017 International Conference on Applied System Innovation (ICASI) Sapporo, Japan, May 13–17, 2017 p1293

    [22]

    Colinge J P, Lee C W, Afzalian A, Akhavan N D, Yan R, Ferain I, Razavi P, O'Neill B, Blake A, White M, Kelleher A M, McCarthy B, Murphy R 2010 Nat. Nanotechnol. 5 225Google Scholar

    [23]

    Wang H, Han W, Li X, Zhang Y, Yang F 2014 J. Appl. Phys. 116 124505Google Scholar

    [24]

    Salahuddin S, Datta S J 2008 Nano Lett. 8 405Google Scholar

    [25]

    Zhou H, Kwon D, Sachid A B, Liao Y, Chatterjee K, Tan A J, Yadav A K, Hu C, Salahuddin S 2018 IEEE Symposium on VLSI Technology Honolulu, HI, USA, June 18–22, 2018 p53

    [26]

    Kobayashi M 2018 Appl. Phys. Express 11 110101Google Scholar

    [27]

    Tan A J, Zhu Z, Choe H S, Hu C, Salahuddin S, Yoon A 2019 International Symposium on VLSI Technology, Systems and Application Hsinchu, Taiwan, China, April 22–25, 2019 p1

    [28]

    Das S, Appenzeller J 2011 Nano Lett. 11 4003Google Scholar

    [29]

    Wang X, Yu P, Lei Z, Zhu C, Cao X, Liu F, You L, Zeng Q, Deng Y, Zhu C, Zhou J, Fu Q, Wang J, Huang Y, Liu Z 2019 Nat. Commun. 10 3037Google Scholar

    [30]

    Xu J, Jiang S Y, Zhang M, Zhu H, Chen L, Sun Q Q, Zhang D W 2018 Appl. Phys. Lett. 112 103104Google Scholar

    [31]

    Rusu A, Salvatore G A, Jiménez D, Ionescu A M 2010 International Electron Devices Meeting San Francisco, CA, USA, December 6–8, 2010 p16.3.1

    [32]

    Hu C, Salahuddin S, Lin C I, Khan A 2015 73rd Annual Device Research Conference Columbus, OH, USA, June 21–24, 2015 p39

    [33]

    McGuire F A, Lin Y C, Price K, Rayner G B, Khandelwal S, Salahuddin S, Franklin A D 2017 Nano Lett. 17 4801Google Scholar

    [34]

    Pahwa G, Agarwal A, Chauhan Y S 2018 IEEE T. Electron Dev. 65 5130Google Scholar

    [35]

    Mehta H, Kaur H 2019 4th International Conference on Devices, Circuits and Systems Coimbatore, India, March 16–17, 2018 p164

    [36]

    Mehta H, Kaur H 2018 IEEE T. Electron Dev. 65 2699Google Scholar

    [37]

    Shao Q, Wang X, Jiang W, Chen Y, Zhang X, Tu L, Lin T, Shen H, Meng X, Liu A, Wang J 2019 Appl. Phys. Lett. 115 162902Google Scholar

    [38]

    Fan C C, Tu C Y, Lin M H, Chang C Y, Cheng C H, Chen Y L, Liou G L, Liu C, Chou W C, Hsu H H 2018 IEEE International Reliability Physics Symposium Burlingame, CA, USA, March 11–15, 2018 pP-TX.8-1

    [39]

    钟维烈 1996 铁电体物理学 (北京: 科学出版社) 第1页

    Zhong W L 1996 Ferroelectric Physics (Beijing: Science Press) p1 (in Chinese)

    [40]

    Kholkin A L, Pertsev N A, Goltsev A V 2008 Piezoelectricity and Crystal Symmetry (Boston: Springer US) pp28–29

    [41]

    Koh J H 2002 Ph. D. Dissertation (Stockholm: Royal Institute of Technology)

    [42]

    Wersing W, Bruchhaus R 2000 Pyroelectric Devices and Applications (Cambridge: Academic Press) p143

    [43]

    Sawaguchi E, Akishige Y, Kobayashi M 1985 J. Phys. Soc. Jpn. 54 480Google Scholar

    [44]

    Lu S W, Lee B I, Wang Z L, Samuels W D 2000 J. Cryst. Growth 219 269Google Scholar

    [45]

    Smith M B, Page K, Siegrist T, Redmond P L, Walter E C, Seshadri R, Brus L E, Steigerwald M L 2008 J. Am. Chem. Soc. 130 6955Google Scholar

    [46]

    Valasek J 1921 Phys. Rev. 17 475Google Scholar

    [47]

    Ploss B, Ploss B, Shin F G, Chan H L, Choy C L 2000 IEEE Trns. Dielectr. Electr. Insul. 7 517Google Scholar

    [48]

    Nguyen C A, Mhaisalkar S G, Ma J, Lee P S 2008 Org. Electron. 9 1087Google Scholar

    [49]

    Kang S J, Park Y J, Bae I, Kim K J, Kim H C, Bauer S, Thomas E L, Park C 2009 Adv. Funct. Mater. 19 2812Google Scholar

    [50]

    Jo J, Choi W Y, Park J D, Shim J W, Yu H Y, Shin C 2015 Nano Lett. 15 4553Google Scholar

    [51]

    Zhang W, Xiong R G 2012 Chem. Rev. 112 1163Google Scholar

    [52]

    Liu Y L, Ge J Z, Wang Z X, Xiong R G 2019 Inorg. Chem. Front. 7 128Google Scholar

    [53]

    Ikeda T, Sasaki T, Ichimura K 1993 Nature 361 428Google Scholar

    [54]

    Zhang H, Chen Y, Ding S, Wang J, Bao W, Zhang D W, Zhou P 2018 Nanotechnology 29 244004Google Scholar

    [55]

    Beresnev L A, Chigrinov V G, Dergachev D I, Poshidaev E P, Fünfschilling J, Schadt M 1989 Liq. Cryst. 5 1171Google Scholar

    [56]

    Ye H Y, Tang Y Y, Li P F, Liao W Q, Gao J X, Hua X N, Cai H, Shi P P, You Y M, Xiong R G J S 2018 Science 361 151Google Scholar

    [57]

    Li P F, Liao W Q, Tang Y Y, Qiao W, Zhao D, Ai Y, Yao Y F, Xiong R G 2019 Proc. Natl. Acad. Sci. U S.A 116 5878Google Scholar

    [58]

    Li L, Wu M 2017 ACS Nano 11 6382Google Scholar

    [59]

    Ding W, Zhu J, Wang Z, Gao Y, Xiao D, Gu Y, Zhang Z, Zhu W 2017 Nat. Commun. 8 14956Google Scholar

    [60]

    Li Y, Gong M, Zeng H 2019 J. Semicond. 40 061002sGoogle Scholar

    [61]

    Liu F, You L, Seyler K L, Li X, Yu P, Lin J, Wang X, Zhou J, Wang H, He H, Pantelides S T, Zhou W, Sharma P, Xu X, Ajayan P M, Wang J, Liu Z 2016 Nat. Commun. 7 12357Google Scholar

    [62]

    Wu M, Jena P 2018 Wiley Interdiscip. Rev.-Comput. Mol. Sci. 8 1365Google Scholar

    [63]

    Böscke T S, Müller J, Bräuhaus D, Schröder U, Böttger U 2011 Appl. Phys. Lett. 99 102903Google Scholar

    [64]

    Mueller S, Mueller J, Singh A, Riedel S, Sundqvist J, Schroeder U, Mikolajick T 2012 Adv. Funct. Mater. 22 2412Google Scholar

    [65]

    Müller J, Schröder U, Böscke T S, Müller I, Böttger U, Wilde L, Sundqvist J, Lemberger M, Kücher P, Mikolajick T, Frey L 2011 J. Appl. Phys. 110 114113Google Scholar

    [66]

    Starschich S, Boettger U 2017 J. Mater. Chem. C 5 333Google Scholar

    [67]

    Schroeder U, Mueller S, Mueller J, Yurchuk E, Martin D, Adelmann C, Schloesser T, van Bentum R, Mikolajick T 2013 ECS J. Solid State Sci. Technol. 2 N69Google Scholar

    [68]

    Schroeder U, Yurchuk E, Müller J, Martin D, Schenk T, Polakowski P, Adelmann C, Popovici M I, Kalinin S V, Mikolajick T 2014 Jpn. J. Appl. Phys. 53 08LE02Google Scholar

    [69]

    Müller J, Böscke T S, Bräuhaus D, Schröder U, Böttger U, Sundqvist J, Kücher P, Mikolajick T, Frey L 2011 Appl. Phys. Lett. 99 112901Google Scholar

    [70]

    Müller J, Boscke T S, Schroder U, Mueller S, Brauhaus D, Bottger U, Frey L, Mikolajick T 2012 Nano Lett. 12 4318Google Scholar

    [71]

    Terki R, Bertrand G, Aourag H, Coddet C 2008 Mater. Lett. 62 1484Google Scholar

    [72]

    Íñiguez J, Zubko P, Luk’yanchuk I, Cano A 2019 Nat. Rev. Mater. 4 243Google Scholar

    [73]

    Sayeef S, Supriyo D 2008 Nano Letter 8 405

    [74]

    Lu P S, Lin C C, Su P 2019 International Symposium on VLSI Technology, Systems and Application Hsinchu, Taiwan, China, April 22–25, 2019 p1

    [75]

    Muller J, Boscke T S, Schroder U, Hoffmann R, Mikolajick T, Frey L 2012 IEEE Electr. Device L. 33 185Google Scholar

    [76]

    Pahwa G, Dutta T, Agarwal A, Chauhan Y S 2017 IEEE T. Electron Dev. 64 1366Google Scholar

    [77]

    Park B E, Lee G G 2010 J. Korean Phys. Soc. 56 1484Google Scholar

    [78]

    Sun J, Zheng X 2011 IEEE T. Electron Dev. 58 3559Google Scholar

    [79]

    Sun J, Zheng X J, Li W 2012 Curr. Appl. Phys. 12 760Google Scholar

    [80]

    Jang K, Kobayashi M, Hiramoto T 2018 Jpn. J. Appl. Phys. 57 114202Google Scholar

    [81]

    Li Y, Lian Y, Samudra G S 2015 Semicond. Sci. Technol. 30 045011Google Scholar

    [82]

    Sun J, Li Y, Cao L 2019 J. Comput. Electron. 18 527Google Scholar

    [83]

    Hoffmann M, Pesic M, Slesazeck S, Schroeder U, Mikolajick T 2018 Nanoscale 10 10891Google Scholar

    [84]

    Cheng C H, Fan C C, Tu C Y, Hsu H H, Chang C Y 2019 IEEE T. Electron Dev. 66 825Google Scholar

    [85]

    Wong J C, Salahuddin S 2019 Proc. IEEE 107 49Google Scholar

    [86]

    Luttinger J M, Tisza L 1946 Phys. Rev. 70 954Google Scholar

    [87]

    Slater J C 1950 Phys. Rev. 78 748Google Scholar

    [88]

    Islam Khan A, Bhowmik D, Yu P, Joo Kim S, Pan X, Ramesh R, Salahuddin S 2011 Appl. Phys. Lett. 99 113501Google Scholar

    [89]

    Rabe K M, Dawber M, Lichtensteiger C, Ahn C H, Triscone J-M 2007 Physics of Ferroelectrics: A Modern Perspective (Berlin, Heidelberg: Springer Berlin Heidelberg) pp1–30

    [90]

    Gao W, Khan A, Marti X, Nelson C, Serrao C, Ravichandran J, Ramesh R, Salahuddin S 2014 Nano Lett. 14 5814Google Scholar

    [91]

    Alam M A, Si M, Ye P D 2019 Appl. Phys. Lett. 114 090401Google Scholar

    [92]

    Liu Z, Bhuiyan M, Ma T 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p31.2.1

    [93]

    Hoffmann M, Slesazeck S, Mikolajick T, Hwang C S 2019 Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices (Cambridge: Woodhead Publishing) p473

    [94]

    Khan A I, Chatterjee K, Wang B, Drapcho S, You L, Serrao C, Bakaul S R, Ramesh R, Salahuddin S 2015 Nat. Mater. 14 182Google Scholar

    [95]

    Jang K, Ueyama N, Kobayashi M, Hiramoto T 2018 IEEE J. Electron Devices Soc. 6 346Google Scholar

    [96]

    Kim K D, Kim Y J, Park M H, Park H W, Kwon Y J, Lee Y B, Kim H J, Moon T, Lee Y H, Hyun S D, Kim B S, Hwang C S 2019 Adv. Funct. Mater. 29 1808228Google Scholar

    [97]

    Han Q, Aleksa P, Tromm T C U, Schubert J, Mantl S, Zhao Q T 2019 Solid-State Electron. 159 71Google Scholar

    [98]

    Catalan G, Jiménez D, Gruverman A 2015 Nat. Mater. 14 137Google Scholar

    [99]

    Chang S C, Avci U E, Nikonov D E, Manipatruni S, Young I A 2018 Phys. Rev. Appl. 9 014010Google Scholar

    [100]

    Landau L, Khalatnikov I 1954 Dokl. Akad. Nauk SSSR. 96 469Google Scholar

    [101]

    Hoffmann M, Khan A I, Serrao C, Lu Z, Salahuddin S, Pešić M, Slesazeck S, Schroeder U, Mikolajick T 2018 J. Appl. Phys. 123 184101Google Scholar

    [102]

    Merz W J 1954 Phys. Rev. 95 690Google Scholar

    [103]

    Chang S-C, Avci U E, Nikonov D E, Young I A 2017 IEEE J. Explor. Solid-State Comput. Devices Circuits 3 56Google Scholar

    [104]

    Jin C, Saraya T, Hiramoto T, Kobayashi M 2019 IEEE J. Electron Devices Soc. 7 368Google Scholar

    [105]

    Wang H, Yang M, Huang Q, Zhu K, Zhao Y, Liang Z, Chen C, Wang Z, Zhong Y, Zhang X 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p31.1.1

    [106]

    Orihara H, Hashimoto S, Ishibashi Y 1994 J. Phys. Soc. Jpn. 63 1031Google Scholar

    [107]

    Jo J, Shin C 2016 IEEE Electr. Device L. 37 245Google Scholar

    [108]

    Nourbakhsh A, Zubair A, Joglekar S, Dresselhaus M, Palacios T 2017 Nanoscale 9 6122Google Scholar

    [109]

    Saeidi A, Jazaeri F, Bellando F, Stolichnov I, Enz C C, Ionescu A M 2017 47th European Solid-State Device Research Conference Leuven, Belgium, September 11–14, 2017 p78

    [110]

    Galatage R, Bentley S, Suvarna P H, Krivokapic Z 2018 US Patent 10 141 414 B1

    [111]

    Khan A I, Yeung C W, Hu C, Salahuddin S 2012 International Electron Devices Meeting Washington, DC, USA, December 5–7, 2011 p11.3.1

    [112]

    Agarwal H, Kushwaha P, Lin Y K, Kao M Y, Liao Y H, Dasgupta A, Salahuddin S, Hu C 2019 IEEE Electr. Device L. 40 463Google Scholar

    [113]

    Si M, Su C J, Jiang C, Conrad N J, Zhou H, Maize K D, Qiu G, Wu C T, Shakouri A, Alam M A, Ye P D 2018 Nat. Nanotechnol. 13 24Google Scholar

    [114]

    Bohr M T, Young I A 2017 IEEE Micro 37 20

    [115]

    Cheng C H, Chin A 2014 IEEE Electr.Device L. 35 274Google Scholar

    [116]

    Fan CC, Cheng CH, Chen YR, Liu C, Chang CY 2018 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 2–6, 2017 p23.2.1

    [117]

    Chiu YC, Cheng CH, Chang C-, Tang YT, Chen MC 2016 IEEE Symposium on VLSI Technology Honolulu, HI, USA, June 14–16, 2016 p1

    [118]

    Cheng CH, Fan CC, Hsu HH, Wang SA, Chang CY 2019 Phys. Status Solidi-Rapid Res. Lett. 13 1800493Google Scholar

    [119]

    Cheng C H, Lin M H, Chen H Y, Fan C C, Liu C, Hsu H H, Chang C Y 2018 Phys. Status Solidi-Rapid Res. Lett. 13 1800573Google Scholar

    [120]

    Zeng B, Xiao W, Liao J, Liu H, Liao M, Peng Q, Zheng S, Zhou Y 2018 IEEE Electr. Device L. 39 1508Google Scholar

    [121]

    Chen K T, Liao C Y, Chen H Y, Lo C, Siang G Y, Lin Y Y, Tseng Y J, Chang C, Chueh C Y, Yang Y J, Liao M H, Li K S, Chang S T, Lee M H 2019 Microelectron. Eng. 215 110991Google Scholar

    [122]

    Xiao W, Liu C, Peng Y, Zheng S, Feng Q, Zhang C, Zhang J, Hao Y, Liao M, Zhou Y 2019 IEEE Electr. Device L. 40 714Google Scholar

    [123]

    Li K S, Chen P G, Lai T Y, Lin C H, Cheng C C, Chen C C, Wei Y J, Hou Y F, Liao M H, Lee M H 2016 IEEE International Electron Devices Meeting Washington, DC, USA, December 7–9, 2015 p22.6.1

    [124]

    Zhang Z, Xu G, Zhang Q, Hou Z, Li J, Kong Z, Zhang Y, Xiang J, Xu Q, Wu Z, Zhu H, Yin H, Wang W, Ye T 2019 IEEE Electr. Device L. 40 367Google Scholar

    [125]

    Chen P J, Tsai M J, Hou F J, Wu Y C 2019 Silicon Nanoelectronics Workshop Kyoto, Japan, June 9–10, 2019 p1

    [126]

    Lee S Y, Chen H W, Shen C H, Kuo P Y, Chung C C, Huang Y E, Chen H Y, Chao T S 2019 IEEE Electr. Device L. 40 1708Google Scholar

    [127]

    Bansal A K, Kumar M, Gupta C, Hook T B, Dixit A 2018 IEEE T. Electron Dev. 65 3548Google Scholar

    [128]

    Song Y, Zhou H, Xu Q, Luo J, Yin H, Yan J, Zhong H 2011 J. Electron. Mater. 40 1584Google Scholar

    [129]

    Zhou J, Han G, Li Q, Peng Y, Lu X, Zhang C, Zhang J, Sun QQ, Zhang D W, Hao Y 2017 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 3–7, 2016 p12.2.1

    [130]

    Zhou J, Han G, Peng Y, Liu Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2017 IEEE Electr. Device L. 38 1157Google Scholar

    [131]

    Li J, Zhou J, Han G, Liu Y, Peng Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2017 IEEE Electr. Device L. 38 1500Google Scholar

    [132]

    Zhou J, Han G, Li J, Liu Y, Peng Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2018 IEEE Electr.Device L. 39 622Google Scholar

    [133]

    Zhou J, Han G, Li J, Liu Y, Peng Y, Zhang J, Sun Q Q, Zhang D W, Hao Y 2018 IEEE Electr. Device L. 39 618Google Scholar

    [134]

    Peng Y, Liu Y, Han G, Zhang J, Hao Y 2019 Nanoscale Res. Lett. 14 125Google Scholar

    [135]

    Alghamdi S, Chung W, Si M, Peide D Y 2018 76th Device Research Conference Santa Barbara, CA, USA, June 24–27, 2018 p1

    [136]

    Luc Q, Fan-Chiang C, Huynh S, Huang P, Do H, Ha M, Jin Y, Nguyen T, Zhang K, Wang H 2018 IEEE Symposium on VLSI Technology Honolulu, HI, USA, June 18–22, 2018 p47

    [137]

    Chang E Y, Luc Q H, Tran N A, Lin Y C 2019 ECS Trans. 92 3Google Scholar

    [138]

    Srimani T, Hills G, Bishop M D, Radhakrishna U, Zubair A, Park R S, Stein Y, Palacios T, Antoniadis D, Shulaker M M 2018 IEEE Electr. Device L. 39 304Google Scholar

    [139]

    Tu L, Wang X, Wang J, Meng X, Chu J 2018 Adv. Electron. Mater. 4 1800231Google Scholar

    [140]

    Si M, Jiang C, Chung W, Du Y, Alam M A, Ye P D 2018 Nano Lett. 18 3682Google Scholar

    [141]

    Lee Y T, Kwon H, Kim J S, Kim H H, Lee Y J, Lim J A, Song YW, Yi Y, Choi WK, Hwang D K 2015 ACS Nano 9 10394Google Scholar

    [142]

    Heidler J, Yang S, Feng X, Müllen K, Asadi K 2018 Solid-State Electron. 144 90Google Scholar

    [143]

    Choi H, Shin C 2019 Phys. Status Solidi A 216 1900177Google Scholar

    [144]

    Yu Z, Wang H, Li W, Xu S, Song X, Wang S, Wang P, Zhou P, Shi Y, Chai Y 2018 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 2-6, 2017 p23.6.1

    [145]

    Yap W C, Jiang H, Liu J, Xia Q, Zhu W 2017 Appl. Phys. Lett. 111 013103Google Scholar

    [146]

    McGuire F A, Lin Y C, Rayner B, Franklin A D 2017 75th Annual Device Research Conference South Bend, IN, USA, June 25–28, 2017 p1

    [147]

    Alghamdi S, Si M, Yang L, Peide D Y 2018 IEEE International Reliability Physics Symposium Burlingame, CA, USA, March 11–15, 2018 pP-TX.1-1

    [148]

    Wang J, Guo X, Yu Z, Ma Z, Liu Y, Chan M, Zhu Y, Wang X, Chai Y 2019 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 1–5, 2018 p22.3.1

    [149]

    Si M, Peide D Y 2018 International Symposium on VLSI Technology, Systems and Application Hsinchu, Taiwan, April 16–19, 2018 p1

    [150]

    Liu F, Zhou Y, Wang Y, Liu X, Wang J, Guo H 2016 NPJ Quantum Mater. 1 16004Google Scholar

    [151]

    Park N, Kang H, Park J, Lee Y, Yun Y, Lee J H, Lee S G, Lee Y H, Suh D 2015 ACS Nano 9 10729Google Scholar

    [152]

    Jie W, Hao J 2017 Nanoscale 10 328

    [153]

    Lipatov A, Fursina A, Vo T H, Sharma P, Gruverman A, Sinitskii A 2017 Adv. Electron. Mater. 3 1700020Google Scholar

    [154]

    Lee Y, Jeon W, Cho Y, Lee M H, Jeong S J, Park J, Park S 2016 ACS Nano 10 6659Google Scholar

    [155]

    Tian H, Li Y-x, Li L, Wang X, Liang R, Yang Y, Ren T L 2019 IEEE T. Electron Dev. 66 1579Google Scholar

    [156]

    Li J, Liu Y, Han G, Zhou J, Hao Y 2019 Nanoscale Res. Lett. 14 171Google Scholar

    [157]

    Peng Y, Han G, Xiao W, Wu J, Liu Y, Zhang J, Hao Y 2019 Nanoscale Res. Lett. 14 115Google Scholar

    [158]

    Tokumitsu E 2020 Jpn. J. Appl. Phys. 59 SCCB06Google Scholar

    [159]

    Park J H, Jang G S, Kim H Y, Seok K H, Chae H J, Lee S K, Joo S K 2016 Sci. Rep. 6 24734Google Scholar

    [160]

    Lee M H, Fan S T, Tang C H, Chen P G, Chou Y C, Chen H H, Kuo J Y, Xie M J, Liu S N, Liao M H 2017 IEEE International Electron Devices Meeting San Francisco, CA, USA, December 3–7, 2016 p12.1.1

    [161]

    Zhang X D, Han W H, Liu W, Zhao X S, Guo Y Y, Yang C, Chen J D, Yang F H 2019 Chin. Phys. B 28 127302Google Scholar

    [162]

    Guo Y Y, Han W H, Zhao X S, Dou Y M, Zhang X D, Wu X Y, Yang F H 2019 Chin. Phys. B 28 107303Google Scholar

    [163]

    Zhao X S, Han W H, Guo Y Y, Dou Y M, Yang F H 2018 Chin. Phys. B 27 097310Google Scholar

  • [1] Shen Rui-Xiang, Zhang Hong, Song Hong-Jia, Hou Peng-Fei, Li Bo, Liao Min, Guo Hong-Xia, Wang Jin-Bin, Zhong Xiang-Li. Numerical simulation of single-event effects in fully-depleted silicon-on-insulator HfO2-based ferroelectric field-effect transistor memory cell. Acta Physica Sinica, 2022, 71(6): 068501. doi: 10.7498/aps.71.20211655
    [2] Tian Jin-Peng, Wang Shuo-Pei, Shi Dong-Xia, Zhang Guang-Yu. Vertical short-channel MoS2 field-effect transistors. Acta Physica Sinica, 2022, 71(21): 218502. doi: 10.7498/aps.71.20220738
    [3] Li Hua-Mei, Hou Peng-Fei, Wang Jin-Bin, Song Hong-Jia, Zhong Xiang-Li. Single-event-upset effect simulation of HfO2-based ferroelectric field effect transistor read and write circuits. Acta Physica Sinica, 2020, 69(9): 098502. doi: 10.7498/aps.69.20200123
    [4] Meng Xian-Cheng, Tian He, An Xia, Yuan Shuo, Fan Chao, Wang Meng-Jun, Zheng Hong-Xing. Field effect transistor photodetector based on two dimensional SnSe2. Acta Physica Sinica, 2020, 69(13): 137801. doi: 10.7498/aps.69.20191960
    [5] Zhang Meng, Yao Ruo-He, Liu Yu-Rong. A channel thermal noise model of nanoscaled metal-oxide-semiconductor field-effect transistor. Acta Physica Sinica, 2020, 69(5): 057101. doi: 10.7498/aps.69.20191512
    [6] Zhang Meng, Yao Ruo-He, Liu Yu-Rong, Geng Kui-Wei. Shot noise model of the short channel metal-oxide-semiconductor field-effect transistor. Acta Physica Sinica, 2020, 69(17): 177102. doi: 10.7498/aps.69.20200497
    [7] Zhao Yi, Li Jun-Kang, Zheng Ze-Jie. Progress of the study on carrier scattering mechanisms of silicon/germanium field effect transistors. Acta Physica Sinica, 2019, 68(16): 167301. doi: 10.7498/aps.68.20191146
    [8] Zhang Jin-Feng, Yang Peng-Zhi, Ren Ze-Yang, Zhang Jin-Cheng, Xu Sheng-Rui, Zhang Chun-Fu, Xu Lei, Hao Yue. Characterization of high-transconductance long-channel hydrogen-terminated polycrystal diamond field effect transistor. Acta Physica Sinica, 2018, 67(6): 068101. doi: 10.7498/aps.67.20171965
    [9] Liu Chang, Lu Ji-Wu, Wu Wang-Ran, Tang Xiao-Yu, Zhang Rui, Yu Wen-Jie, Wang Xi, Zhao Yi. Gate length dependence of hot carrier injection degradation in short channel silicon on insulator planar MOSFET. Acta Physica Sinica, 2015, 64(16): 167305. doi: 10.7498/aps.64.167305
    [10] Lü Yi, Zhang He-Ming, Hu Hui-Yong, Yang Jin-Yong, Yin Shu-Juan, Zhou Chun-Yu. A model of capacitance characteristic for uniaxially strained Si N-metal-oxide-semiconductor field-effect transistor. Acta Physica Sinica, 2015, 64(6): 067305. doi: 10.7498/aps.64.067305
    [11] Liu Hong-Xia, Wang Zhi, Zhuo Qing-Qing, Wang Qian-Qiong. Influence of channel length on PD SOI PMOS devices under total dose irradiation. Acta Physica Sinica, 2014, 63(1): 016102. doi: 10.7498/aps.63.016102
    [12] Xin Yan-Hui, Liu Hong-Xia, Wang Shu-Long, Fan Xiao-Jiao. Two-dimensional analytical models for the symmetrical triple-material double-gate strained Si MOSFETs. Acta Physica Sinica, 2014, 63(14): 148502. doi: 10.7498/aps.63.148502
    [13] Han Ming-Jun, Ke Dao-Ming, Chi Xiao-Li, Wang Min, Wang Bao-Tong. A 2D semi-analytical model for the potential distribution of ultra-short channel MOSFET. Acta Physica Sinica, 2013, 62(9): 098502. doi: 10.7498/aps.62.098502
    [14] Chen Hai-Feng. Characteristics of gate-modulated generation current under the reverse substrate bias in nano-nMOSFET. Acta Physica Sinica, 2013, 62(18): 188503. doi: 10.7498/aps.62.188503
    [15] Zhang Jun-Yan, Deng Tian-Song, Shen Xin, Zhu Kong-Tao, Zhang Qi-Feng, Wu Jin-Lei. Electrical and optical properties of single As-doped ZnO nanowire field effect transistors. Acta Physica Sinica, 2009, 58(6): 4156-4161. doi: 10.7498/aps.58.4156
    [16] Li Wei-Hua, Zhuang Yi-Qi, Du Lei, Bao Jun-Lin. Non-Gaussianity of noise in n-type metal oxide semiconductor field effect transistor. Acta Physica Sinica, 2009, 58(10): 7183-7188. doi: 10.7498/aps.58.7183
    [17] Li Dong-Lin, Zeng Yi-Ping. Theoretical analysis about the influence of channel layer thickness on the 2D electron gas and its distribution in InP-based high-electron-mobility transistors. Acta Physica Sinica, 2006, 55(7): 3677-3682. doi: 10.7498/aps.55.3677
    [18] Li Yan-Ping, Xu Jing-Ping, Chen Wei-Bing, Xu Sheng-Guo, Ji Feng. 2-D threshold voltage model for short-channel MOSFET with quantum-mechanical effects. Acta Physica Sinica, 2006, 55(7): 3670-3676. doi: 10.7498/aps.55.3670
    [19] Wang Hua, Ren Ming-Fang. Memory characteristics of metal-ferroelectric-semiconductor field-effect-transistors with Ag/Bi4Ti3O12/p-Si gate. Acta Physica Sinica, 2006, 55(3): 1512-1516. doi: 10.7498/aps.55.1512
    [20] Kang Lei, Zhao Qian, Zhao Xiao-Peng. The defect effect in the two-dimensional negative permeability material. Acta Physica Sinica, 2004, 53(10): 3379-3383. doi: 10.7498/aps.53.3379
Metrics
  • Abstract views:  20679
  • PDF Downloads:  1281
  • Cited By: 0
Publishing process
  • Received Date:  10 March 2020
  • Accepted Date:  10 April 2020
  • Available Online:  09 May 2020
  • Published Online:  05 July 2020

/

返回文章
返回